Help


[permalink] [id link]
+
Page "Multiflow" ¶ 3
from Wikipedia
Edit
Promote Demote Fragment Fix

Some Related Sentences

VLIW and for
Some virtual machines that support bytecode for Smalltalk, the Java virtual machine, and Microsoft's Common Language Runtime virtual machine as their ISA implement it by translating the bytecode for commonly used code paths into native machine code, and executing less-frequently-used code paths by interpretation ; Transmeta implemented the x86 instruction set atop VLIW processors in the same fashion.
In contrast, one VLIW instruction encodes multiple operations ; specifically, one instruction encodes at least one operation for each execution unit of the device.
For example, if a VLIW device has five execution units, then a VLIW instruction for that device would have five operation fields, each field specifying what operation should be done on that corresponding execution unit.
The acronym VLIW may also refer to Very Long Instruction Word, a CPU instruction set designed to load ( or copy ) a literal value count of inline machine code to the on-chip RAM for higher speed CPU decoding.
His original development of trace scheduling as a compilation technique for VLIW was developed when he was a graduate student at New York University.
Fisher's second innovation was the notion that the target CPU architecture should be designed to be a reasonable target for a compiler — the compiler and the architecture for VLIW must be co-designed.
The i860's VLIW mode was used extensively in embedded DSP applications since the application execution and datasets were simple, well ordered and predictable, allowing the designer to take full advantage of the parallel execution advantages that VLIW lent itself to ; in VLIW mode the i860 was able to maintain floating-point performance in the range of 20-40 double-precision MFLOPS ( an extremely high figure for its time and for a processor operating at 25-50Mhz ).
Compilers generate initial instruction sequences for the VLIW CPU in roughly the same manner that they do for traditional CPUs, generating a sequence of RISC-like instructions.
These contemporary VLIW CPUs are primarily successful as embedded media processors for consumer electronic devices.
VLIW features have also been added to configurable processor cores for SoC designs.
The Infineon Carmel DSP is another VLIW processor core intended for SoC ; it uses a similar code density improvement technique called " configurable long instruction word " ( CLIW ).
The VLIW architecture is growing in popularity, particularly in the embedded market, where it is possible to customize a processor for an application in an embedded system-on-a-chip.
NXP Semiconductors acquired pSOS for TriMedia from Wind River and continued to support this OS for the TriMedia VLIW core.
The i860 combined a number of features that were unique at the time, most notably its VLIW ( Very Long Instruction Word ) architecture and powerful support for high-speed floating point operations.
NXP Semiconductors produce DSP's based on TriMedia VLIW technology, optimized for audio and video processing.

VLIW and Very
Very long instruction word or VLIW refers to a processor architecture designed to take advantage of instruction level parallelism ( ILP ).
* Very long instruction word ( VLIW )
Decoupled architectures play an important role in scheduling in Very long instruction word ( VLIW ) architectures.

VLIW and Instruction
* Superscalar execution, VLIW, and the closely related Explicitly Parallel Instruction Computing concepts, in which multiple execution units are used to execute multiple instructions in parallel.

VLIW and design
VLIW lacks this logic, and therefore lacks its power consumption, possible design defects and other negative features.
Fisher developed a set of principles characterizing a proper VLIW design, such as self-draining pipelines, wide multi-port register files, and memory architectures.
" Information gradually came out of the company, suggesting of a very long instruction word-based ( VLIW ) design that translated x86 code into its own native code.
The Efficeon processor was Transmeta's second-generation 256-bit VLIW processor design.
For example, Efficeon — a second-generation Transmeta design — has a 256-bit-wide VLIW core versus the 128-bit core of the Crusoe.
* Explicitly parallel instruction computing, a design philosophy based on VLIW used in Itanium CPUs
The Efficeon processor is Transmeta's second-generation 256-bit VLIW design which employs a software engine to convert code written for x86 processors to the native instruction set of the chip ( Code Morphing Software, aka CMS ).
Multiflow Computer, Inc., founded in April, 1984 near New Haven, Connecticut, USA, was a manufacturer and seller of minisupercomputer hardware and software embodying the VLIW design style.
Along with Cydrome, an attached-VLIW minisupercomputer company that had less commercial success, Multiflow demonstrated that the VLIW design style was practical, a conclusion surprising to many.
While a few of Multiflow ’ s sales went to organizations wishing to learn more about the new VLIW design style, most systems were used for simulation in product development environments: mechanical, aerodynamic, defense, crash dynamics, chemical, and some electronic.

VLIW and was
The KSR processor was a 2-wide VLIW, with instructions of 6 types: memory reference ( load and store ), execute, control flow, memory control, I / O, and inserted.
Prior to VLIW, the notion of prescheduling functional units and instruction-level parallelism in software was well established in the practice of developing horizontal microcode.
The first VLIW compiler was described in a Ph. D. thesis by John Ellis, supervised by Fisher.
Cydrome was a company producing VLIW numeric processors using ECL technology in the same timeframe ( late 1980s ).
In addition to the above systems, at around the same period ( i. e. 1989-1990 ), Intel implemented VLIW in the Intel i860, their first 64bit microprocessor ; the i860 was also the first processor to implement VLIW on a single chip.
In the VLIW mode, the processor always fetched two instructions and assumed that one was an integer instruction and the other floating-point
During the 1980s and early 1990s, when the 68000 was widely used in desktop computers, it mainly competed against Intel's x86 architecture, which to this day — other than a small minority of Transmeta VLIW processors — remains the only architecture used in IBM Compatible PCs.
The CMS software overhead may have actually been a key cause of much lower performance for many real-world applications ; the simple VLIW core architecture could not compete on computationally intensive applications ; and the southbridge interface was limited by its low bandwidth for graphics or other I / O-intensive applications.
The basis for the research was VLIW, in which multiple operations are encoded in every instruction, and then processed by multiple execution units.
VLIW was motivated by a compiler scheduling technique, called trace scheduling, that Fisher had developed as a graduate student at the Courant Institute of Mathematical Sciences of New York University in 1978.
VLIW was put forward by Fisher as a way to build general-purpose instruction-level parallel processors exploiting ILP to a degree that would have been impractical using what would later be called superscalar control hardware.

VLIW and first
Multiflow produced the TRACE series of VLIW minisupercomputers, shipping their first machines in 1987.
Multiflow delivered its first working VLIW minisupercomputers in early 1987 to three beta-sites: Grumman Aircraft, Sikorsky Helicopter, and the Supercomputer Research Center.
( The first VLIW microprocessor was the Philips Life, the ancestor of today ’ s TriMedia, delivered several years later.

0.111 seconds.