Help


[permalink] [id link]
+
Page "Joint Test Action Group" ¶ 12
from Wikipedia
Edit
Promote Demote Fragment Fix

Some Related Sentences

JTAG and interface
This file is transferred to the FPGA / CPLD via a serial interface ( JTAG ) or to an external memory device like an EEPROM.
* It is also possible to take control of a system by using a hardware debug interface such as JTAG.
JTAG is a standard and popular interface ; many CPUs, microcontrollers and other devices are manufactured with JTAG interfaces ( as of 2009 ).
* An in-circuit debugger ( ICD ), a hardware device that connects to the microprocessor via a JTAG or Nexus interface.
By default, AVRs with JTAG come with the JTAG interface enabled.
Now, many CPUs use a standard serial test interface, usually JTAG, for this purpose.
For example, it is routine to have a source code level debugger with a graphical windowing interface that communicates through a JTAG adapter (" emulator ") to an embedded target system which has no graphical user interface.
The controller modules interface with the system " centerplane " via JTAG and control the partitioning of available CPUs, memory and I / O devices into one or more domains, each of which is in effect a distinct computer.
By using JTAG to manipulate the chip's external interface ( inputs and outputs to other chips ) it is possible to test for certain faults, caused mainly by manufacturing problems.
By using JTAG to manipulate its internal interface ( to on-chip registers ), the combinational logic can be tested.
They are also decoupled from JTAG so they can be hosted over ARM's two-wire " SWD " interface instead of just the six-wire JTAG interface.
The target's JTAG interface is accessed using some JTAG-enabled application and some JTAG adapter hardware.

JTAG and is
Very common is boundary scan testing using IEEE 1149. 1 JTAG port.
Recent ICEs enable a programmer to access the on-chip debug circuit that is integrated into the CPU via JTAG or BDM ( Background Debug Mode ) in order to debug the software of an embedded system.
Joint Test Action Group ( JTAG ) is the common name for what was later standardized as the IEEE 1149. 1 Standard Test Access Port and Boundary-Scan Architecture.
Today JTAG is also widely used for IC debug ports.
Boundary-scan is now mostly synonymous with JTAG, but JTAG has essential uses beyond such manufacturing applications.
Today JTAG is used as the primary means of accessing sub-blocks of integrated circuits, making it an essential mechanism for debugging embedded systems which may not have any other debug-capable communications channel.
Debug support is, for many software developers, the main reason to be interested in JTAG.
Besides debugging, another application of JTAG is allowing device programmer hardware to transfer data into internal non-volatile device memory ( e. g. CPLDs ).
This is usually done using data bus access like the CPU would use, and is sometimes actually handled by a CPU, but in other cases memory chips have JTAG interfaces themselves.
Installing firmware into Flash, or SRAM in place of Flash, via JTAG is intermediate between these extremes, as well as in cost of hardware tools.
Faster TCK frequencies are most useful when JTAG is used to transfer lots of data, such as when storing a program executable into flash memory.
A System Reset ( SRST ) signal is quite common, letting debuggers reset the whole system, not just the parts with JTAG support.
To use JTAG, a host is connected to the target's JTAG signals ( TMS, TCK, TDI, TDO, etc.

JTAG and special
OnCE includes a JTAG command which makes a TAP enter a special mode where the IR holds OnCE debugging commands for operations such as single stepping, breakpointing, and accessing registers or memory.
A special JTAG card can be used to reflash a corrupt BIOS.

JTAG and four
( ARM takes the four standard JTAG signals and adds the optional TRST, plus the RTCK signal used for adaptive clocking.
These cells are then connected together to form the external boundary scan shift register ( BSR ), and combined with JTAG TAP ( Test Access Port ) controller support comprising four ( or sometimes more ) additional pins plus control circuitry.

JTAG and /
** In-system programmable using serial / parallel low-voltage proprietary interfaces or JTAG
Even though few consumer products provide an explicit JTAG port connector, the connections are often available on the printed circuit board as a remnant from development prototyping and / or production.
TMS / TDI / TCK output transitions create the basic JTAG communication primitive on which higher layer protocols build:
* Free JTAG / Boundary Scan Resources
Typically high-end commercial JTAG testing systems allow the import of design ' netlists ' from CAD / EDA systems plus the BSDL models of boundary scan / JTAG compliant devices to automatically generate test applications.
Newer implementations support standard IEEE JTAG control for boundary scan and / or in-circuit debugging.
* Solder pads for user-supplied connectors: 2 8-bit I / O ports, ISP, USI, JTAG

JTAG and chip
There are generally some processor-specific JTAG operations which can reset all or part of the chip being debugged.
One chip might have a 40 MHz JTAG clock, but only if it's using a 200 MHz clock for non-JTAG operations ; and it might need to use a much slower clock when it's in a low power mode.

JTAG and designed
Although JTAG's early applications targeted board level testing, the JTAG standard was designed to assist with device, board, and system testing, diagnosis, and fault isolation.
This debug TAP exposes several standard instructions, and a few specifically designed for hardware-assisted debugging, where a software tool ( the " debugger ") uses JTAG to communicate with a system being debugged:

JTAG and so
JTAG was meant to provide a pins-out view from one IC pad to another so all these faults could be discovered.
However, neither the IDE nor a debugger were included, so for debugging and JTAG access to the DSPs, users still need to purchase the complete toolchain.

0.294 seconds.